Questa Sim

From Robin

(Difference between revisions)
Jump to: navigation, search
(Questa on IFIs Linux machines)
(26 intermediate revisions not shown)
Line 1: Line 1:
-
==Questa on IFIs Linux machines==
+
== Questa on LISP (2428)==
 +
Questa 2020.4 is installed on all RHEL clients and servers at ifi. To launch Questa, go to ''Applications->Education-> '''Questa'''
-
In order to run Questa on Linux, add the following to your ~/.bashrc file:
+
Alternatively, you can find it by searching for apps (Super/Windows key):
-
  <nowiki>
+
[[Image:Questa_desktop.png]]
 +
 
 +
== Launch in terminal ==
 +
<!---
 +
You can run Questa on all IFI machines by executing the following tutorial
 +
 
 +
cd
 +
  nano .bashrc
 +
 
 +
Append the following lines to the end of the .bashrc file:
 +
<pre>
 +
# Questa 2019.4
 +
if ! [ -x "$(command -v vsim)" ]; then
 +
  export PATH=$PATH:/projects/nanus/eda/Mentor/2019_2020/Questa/questasim/bin
 +
fi
 +
 
 +
# License file
export LM_LICENSE_FILE=5370@lisens.ifi.uio.no
export LM_LICENSE_FILE=5370@lisens.ifi.uio.no
-
export PATH=$PATH:/projects/nanus/eda/Mentor/2017_2018/Questa/questasim/bin
 
-
export MODELSIM=/uio/kant/ifi-project06/robin/CADlib/modelsimCADLIB.ini
 
-
</nowiki>
 
-
The latter will be sourced on login. You may now run <code> vsim </code> in the terminal to open Questa sim.
+
# Modelsim library
 +
export MODELSIM=/projects/robin/CADlib/modelsimCADLIB.ini
-
==Questa on IFIs Windows machines==
+
# Remove duplicants in the PATH variable
 +
PATH=$(printf "%s" "$PATH" | awk -v RS=':' '!a[$1]++ { if (NR > 1) printf RS; printf $1 }')
 +
 
 +
</pre>
 +
--->
 +
'''Launch'''
 +
 
 +
Use the following command to launch Questa with GUI:
 +
vsim
 +
 
 +
'''Font size'''
 +
 
 +
To change the font size in the text-editor edit the ''.modelsim'' file in your in e.g. nano. Find the variable ''textFontV2'' and edit it to:
 +
textFontV2 {Courier -12}
 +
 
 +
<!---
 +
== Questa on IFIs Windows clients ==
 +
Vivado 2016.4 is situated on /uio/kant/ifi-project06/robin/programs/vivado
 +
it can be accessed from any Windows machine, but it does need to have
 +
the LM_LICENSE_FILE environment variable set to 5370@lisens.ifi.uio.no
 +
 
 +
Questa is installed on SED (1454) and CHILL (3443).
 +
Please notify the engineer in ROBIN if there are issues.
 +
 
 +
'''BUG'''
 +
 
Due to technical issues, you will receive the following error when creating a new project in Questa on '''M:/''':
Due to technical issues, you will receive the following error when creating a new project in Questa on '''M:/''':
-
<nowiki style="color:#ff0000">># Project file M:/inf3430/my_first_project.mpf is not readable.
+
<pre style="color:#ff0000">
-
># Unable to open project.
+
# Project file M:/inf3430/my_first_project.mpf is not readable.
-
</nowiki>
+
# Unable to open project.
 +
</pre>
-
We are sorry to inform that there are no current solutions to this problem. However, you may use the following workarounds:
+
We are sorry to inform that there are no current solutions to this problem. However, we are working on a solution. You may use;
 +
 
 +
C:\users\<username>
 +
 
 +
for storing project files, but be ware that there is no backup and you need to move your files to M:\ before leaving the client.
 +
 
 +
You can also use X-Win32 to get Linux with GUI on IFIs Windows clients: https://termvakt.uio.no/Fjerninnlogging#Xterm_via_X-Win32
 +
<!---However, you may use the following workarounds:
# Save projects in C:\users\<username>. Be ware that no backups are run on C:\ and the files will only be stored locally. However, you may:
# Save projects in C:\users\<username>. Be ware that no backups are run on C:\ and the files will only be stored locally. However, you may:
Line 26: Line 74:
# Run X-Win64 and use Questa Sim on Linux.
# Run X-Win64 and use Questa Sim on Linux.
-
If you have any problems, please notify your teaching assistant or ROBIN engineer
+
If you have any problems, please notify your teaching assistant or ROBIN engineer--->
 +
 
 +
== Modelsim on personal Windows computer ==
 +
If you have a Windows computer, you can install Modelsim Student Edition. The application can be downloaded from Mentors website:
 +
https://www.mentor.com/company/higher_ed/modelsim-student-edition.
 +
 
 +
Click "Download Student Edition".
 +
You need to fill out this form with an email you can access. We recommend your UiO email address.
 +
 
 +
You will recieve an email from Mentor with a dowload link. This will download an installer for Modelsim. Open it and follow onscreen instructions.
 +
Take note of where you install Modelsim.
 +
 
 +
Once the download completes, the installer will direct you to a website with a new form.
 +
 
 +
Fill out this form, and use an email as recommended above. Specify "UiO" as your University and the other fields as appropriate.
 +
They will send you a licence file within 24 hours, often less. The email will also contain instructions to apply the licence. You will have to
 +
replace the licence that is already there.
 +
 
 +
Once the applied, find your Modelsim install directory, and open the file modelsim.ini in a text editor. Locate the line
 +
 
 +
VHDL93=2002
 +
 
 +
Change this to
 +
 
 +
VHDL93=2008
 +
 
 +
You need to do this to be able to compile VHDL 2008 code by default. VHDL 2008 is used by IN2060 and IN3160.

Revision as of 11:52, 13 September 2021

Questa on LISP (2428)

Questa 2020.4 is installed on all RHEL clients and servers at ifi. To launch Questa, go to Applications->Education-> Questa

Alternatively, you can find it by searching for apps (Super/Windows key):

Image:Questa_desktop.png

Launch in terminal

Launch

Use the following command to launch Questa with GUI:

vsim

Font size

To change the font size in the text-editor edit the .modelsim file in your in e.g. nano. Find the variable textFontV2 and edit it to:

textFontV2 {Courier -12}


Modelsim on personal Windows computer

If you have a Windows computer, you can install Modelsim Student Edition. The application can be downloaded from Mentors website: https://www.mentor.com/company/higher_ed/modelsim-student-edition.

Click "Download Student Edition". You need to fill out this form with an email you can access. We recommend your UiO email address.

You will recieve an email from Mentor with a dowload link. This will download an installer for Modelsim. Open it and follow onscreen instructions. Take note of where you install Modelsim.

Once the download completes, the installer will direct you to a website with a new form.

Fill out this form, and use an email as recommended above. Specify "UiO" as your University and the other fields as appropriate. They will send you a licence file within 24 hours, often less. The email will also contain instructions to apply the licence. You will have to replace the licence that is already there.

Once the applied, find your Modelsim install directory, and open the file modelsim.ini in a text editor. Locate the line

VHDL93=2002

Change this to

VHDL93=2008

You need to do this to be able to compile VHDL 2008 code by default. VHDL 2008 is used by IN2060 and IN3160.

Personal tools
Front page